Test data compression for system-on-a-chip using extended frequency-directed run-length code

نویسنده

  • Aiman H. El-Maleh
چکیده

One of the major challenges in testing a System-on-a-Chip (SOC) is dealing with the large test data size. To reduce the volume of test data, several test data compression techniques have been proposed. Frequencydirected run-length (FDR) code is a variable-to-variable run length code based on encoding runs of 0’s. In this work, we demonstrate that higher test data compression can be achieved based on encoding both runs of 0’s and 1’s. We propose an extension to the FDR code and demonstrate by experimental results its effectiveness in achieving higher compression ratio.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Extended Frequency-Directed Run-Length Code with Improved Application to System-on-a-Chip Test Data Compression

One of the major challenges in testing a System-on-aChip (SOC) is dealing with the large test data size. To reduce the volume of test data, several test data compression techniques have been proposed. Frequencydirected run-length (FDR) code is a variable-to-variable run length code based on encoding runs of 0’s. In this work, we demonstrate that higher test data compression can be achieved base...

متن کامل

Efficient Test Data Compression Using Transition Directed Run-length Code in System-on-a-chip

A new test data compression method using Transition Directed Run-length code (TDR) is proposed. The proposed method is suitable for encoding the test set for embedded cores in a system-on-a-chip. The previous researches have shown that run-length coding can provide high compression ratio for the test data. However, experimental data show that inefficient encoding is located the near of test dif...

متن کامل

A hybrid test compression technique for efficient testing of systems-on-a-chip

One of the major challenges in testing a System-on-a-Chip (SOC) is dealing with the large test data size. To reduce the volume of test data, several efficient test data compression techniques have been recently proposed. In this paper, we propose hybrid test compression techniques that combine the Geometric-Primitives-Based compression technique with the frequency-directed run-length (FDR) and ...

متن کامل

Frequency-Directed Run-Length (FDR) Codes with Application to System-on-a-Chip Test Data Compression

We showed recently that Golomb codes can be used for efficiently compressing system-on-a-chip test data. We now present a new class of variable-to-variable-length compression codes that are designed using the distributions of the runs of 0s in typical test sequences. We refer to these as frequency-directed run-length (FDR) codes. We present experimental results for the ISCAS 89 benchmark circui...

متن کامل

How Effective are Compression Codes for Reducing Test Data Volume?

Run-length codes and their variants have recently been shown to be very effective for compressing system-on-achip (SOC) test data. In this paper, we analyze the Golomb code, the conventional run-length code and the FDR code for a binary memoryless data source, and compare the compression obtained in each case to fundamental entropy bounds. We show analytically that the FDR code outperforms both...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:
  • IET Computers & Digital Techniques

دوره 2  شماره 

صفحات  -

تاریخ انتشار 2008